Skip to content
View basberg's full-sized avatar

Block or report basberg

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
Showing results

Command-line Git information tool

Rust 9,668 268 Updated Sep 19, 2024

Cutting stock problem optimizer

TypeScript 149 39 Updated Jun 6, 2024

Tools for running FPGA vendor toolchains with Docker

Makefile 72 14 Updated Apr 30, 2023

SystemRDL 2.0 language compiler front-end

Python 226 64 Updated Sep 3, 2024

Control and status register code generator toolchain

Python 88 22 Updated Sep 3, 2024

Build your hardware, easily!

C 2,893 555 Updated Sep 19, 2024

An open-source HDL register code generator fast enough to run in real time.

Python 29 2 Updated Sep 19, 2024

Neofetch configs put into a convinient repository

1,331 141 Updated Aug 15, 2024

Hardware Description Language (Verilog, VHDL, Chisel, nMigen, etc) with open tools (Yosys, Verilator, OpenROAD, etc) rules for Bazel (https://bazel.build)

Starlark 112 43 Updated Sep 19, 2024

An extremely fast Python linter and code formatter, written in Rust.

Rust 31,130 1,033 Updated Sep 20, 2024

A starting point for understanding how to grow vegetables at home

Python 38 4 Updated Jun 16, 2024

A template for getting started with FPGA core development

Verilog 106 15 Updated May 4, 2023
Verilog 108 4 Updated Aug 23, 2022

An abstraction library for interfacing EDA tools

Python 622 185 Updated Aug 22, 2024

Tool for generating multi-purpose makefiles for FPGA projects (clone of hdlmake from CERN)

Python 14 9 Updated Aug 16, 2021

VUnit GitHub action

VHDL 14 3 Updated May 23, 2021

VHDL synthesis (based on ghdl)

VHDL 301 31 Updated Jun 29, 2024

Yosys Open SYnthesis Suite

C++ 3,380 874 Updated Sep 19, 2024

GTKWave is a fully featured GTK+ based wave viewer for Unix and Win32 which reads LXT, LXT2, VZT, FST, and GHW files as well as standard Verilog VCD/EVCD files and allows their viewing.

C 616 116 Updated Sep 7, 2024

VHDL 2008/93/87 simulator

VHDL 2,333 360 Updated Sep 20, 2024

Package manager and build abstraction tool for FPGA/ASIC development

Python 1,164 242 Updated Sep 14, 2024

UVVM (Universal VHDL Verification Methodology) is a free and Open Source Methodology and Library for very efficient VHDL verification of FPGA and ASIC – resulting also in significant quality improv…

VHDL 359 90 Updated Sep 19, 2024

VUnit is a unit testing framework for VHDL/SystemVerilog

VHDL 721 258 Updated Sep 16, 2024