Skip to content
View AB1908's full-sized avatar

Block or report AB1908

Block user

Prevent this user from interacting with your repositories and sending you notifications. Learn more about blocking users.

You must be logged in to block users.

Please don't include any personal information such as legal names or email addresses. Maximum 100 characters, markdown supported. This note will be visible to only you.
Report abuse

Contact GitHub support about this user’s behavior. Learn more about reporting abuse.

Report abuse
Beta Lists are currently in beta. Share feedback and report bugs.
9 stars written in Verilog
Clear filter

opensouce RISC-V cpu core implemented in Verilog from scratch in one night!

Verilog 2,076 281 Updated Sep 15, 2024

HDL libraries and projects

Verilog 1,486 1,504 Updated Sep 20, 2024

A small, light weight, RISC CPU soft core

Verilog 1,274 153 Updated Aug 26, 2024

Portable RISC-V System-on-Chip implementation: RTL, debugger and simulators

Verilog 619 102 Updated Dec 21, 2023

CHIP-8 console on FPGA

Verilog 189 12 Updated Dec 16, 2018

RISC-V Core; superscalar, out-of-order, multi-core capable; based on RISCY-OOO from MIT

Verilog 161 36 Updated Jul 25, 2024

An attempt at a small Verilog implementation of the original Apple 1 on an FPGA

Verilog 133 36 Updated Apr 29, 2024

Riscv32 CPU Project

Verilog 82 20 Updated Jan 18, 2018

Riscy-SoC is SoC based on RISC-V CPU core, designed in Verilog

Verilog 77 12 Updated Oct 11, 2019